Home
last modified time | relevance | path

Searched refs:reset_cfifo0 (Results 1 – 2 of 2) sorted by relevance

/illumos-gate/usr/src/uts/common/sys/nxge/
H A Dnxge_zcp_hw.h87 uint32_t reset_cfifo0:1; member
89 uint32_t reset_cfifo0:1;
/illumos-gate/usr/src/uts/common/io/nxge/npi/
H A Dnpi_zcp.c635 cfifo_reg.bits.ldw.reset_cfifo0 = 1; in npi_zcp_rest_cfifo_port()
637 cfifo_reg.bits.ldw.reset_cfifo0 = 0; in npi_zcp_rest_cfifo_port()