Home
last modified time | relevance | path

Searched refs:reset_cfifo1 (Results 1 – 2 of 2) sorted by relevance

/illumos-gate/usr/src/uts/common/sys/nxge/
H A Dnxge_zcp_hw.h86 uint32_t reset_cfifo1:1; member
90 uint32_t reset_cfifo1:1;
/illumos-gate/usr/src/uts/common/io/nxge/npi/
H A Dnpi_zcp.c641 cfifo_reg.bits.ldw.reset_cfifo1 = 1; in npi_zcp_rest_cfifo_port()
643 cfifo_reg.bits.ldw.reset_cfifo1 = 0; in npi_zcp_rest_cfifo_port()