Home
last modified time | relevance | path

Searched refs:cols (Results 1 – 25 of 82) sorted by relevance

1234

/illumos-gate/usr/src/contrib/ast/src/lib/libcmd/
H A Dfold.c94 cols[0] = 0; in fold()
154 if(cols[' ']) in fold()
180 memset(cols, 0, sizeof(cols)); in b_fold()
181 cols['\t'] = T_TAB; in b_fold()
182 cols['\b'] = T_BS; in b_fold()
183 cols['\n'] = T_NL; in b_fold()
184 cols['\r'] = T_RET; in b_fold()
190 cols['\r'] = cols['\b'] = 0; in b_fold()
191 cols['\t'] = cols[' ']; in b_fold()
198 cols[n] = T_SP; in b_fold()
[all …]
/illumos-gate/usr/src/lib/libeti/menu/common/
H A Dmenuformat.c39 if (rows < 0 || cols < 0) { in set_menu_format()
49 if (cols == 0) { in set_menu_format()
50 cols = FCols(m); in set_menu_format()
61 FCols(m) = cols; in set_menu_format()
62 Cols(m) = min(cols, Nitems(m)); in set_menu_format()
63 Rows(m) = (Nitems(m)-1) / cols + 1; in set_menu_format()
73 if (cols > 0) { in set_menu_format()
74 FCols(Dfl_Menu) = cols; in set_menu_format()
81 menu_format(MENU *m, int *rows, int *cols) in menu_format() argument
85 *cols = FCols(m); in menu_format()
[all …]
/illumos-gate/usr/src/contrib/ast/src/lib/libast/port/
H A Dastwinsize.c72 astwinsize(int fd, register int* rows, register int* cols) in astwinsize() argument
81 if (cols) *cols = ws.ws_col; in astwinsize()
92 if (cols) *cols = ts.ts_cols; in astwinsize()
103 if (cols) *cols = ws.bytesx; in astwinsize()
113 if (cols) *cols = (s = getenv("COLUMNS")) ? strtol(s, NiL, 0) : 0; in astwinsize()
/illumos-gate/usr/src/lib/libeti/form/common/
H A Dform.c140 int xmax = f->fcol + f->cols; in merge()
145 if (form->cols < xmax) in merge()
146 form->cols = xmax; in merge()
163 form->cols = 0; in disconnect_fields()
309 *cols = f->cols; in scale_form()
337 int cols = c->cols; in data_ahead() local
340 int flag = cols > MAX_BUF - 1; in data_ahead()
345 bptr = malloc(cols + 1); in data_ahead()
349 start = B(f) + cols; in data_ahead()
361 start += cols; in data_ahead()
[all …]
H A Dfield.c144 new_field(int rows, int cols, int frow, int fcol, int nrow, int nbuf) in new_field() argument
156 if (rows > 0 && cols > 0 && frow >= 0 && fcol >= 0 && nrow >= 0 && in new_field()
161 f->cols = cols; in new_field()
165 f->dcols = cols; in new_field()
208 f->cols = field->cols; in dup_field()
259 f->cols = field->cols; in link_field()
312 field_info(FIELD *f, int *rows, int *cols, int *frow, int *fcol, in field_info() argument
327 *cols = f->cols; in field_info()
H A Dchg_char.c40 #define OffscreenCols(c) ((c)->dcols - (c)->cols)
115 if (OneRow(c) && c->dcols != c->cols) { in _next_word()
122 if (t - (Buf(c) + B(f)) > c->cols) { in _next_word()
123 if (t - v > c->cols) { in _next_word()
127 B(f) = (int) (t - (Buf(c) + c->cols)); in _next_word()
392 int n = c -> cols < m ? c -> cols : m; in _scr_hfline()
408 int n = c -> cols < m ? c -> cols : m; in _scr_hbline()
424 int h = (c->cols + 1)/2; in _scr_hfhalf()
441 int h = (c->cols + 1)/2; in _scr_hbhalf()
H A Dutility.c37 (f)->dcols > (f)->cols)
45 f->dcols == f->cols)
189 int xmax = B(f) + c->cols; in _update_current()
194 B(f) = X(f) - c->cols + 1; in _update_current()
197 c->fcol, c->frow, c->fcol + c->cols - 1, in _update_current()
230 c -> fcol + c -> cols - 1, FALSE); in _update_current()
255 x = (f -> cols - n) / 2; in justify()
258 x = f -> cols - n; in justify()
407 f -> fcol, f -> rows - 1, f -> cols - 1, in _sync_attrs()
454 if (onerow && f->cols == f->dcols && in _sync_opts()
[all …]
/illumos-gate/usr/src/lib/libnisdb/
H A Ddb_entry.cc95 entry_col *cols, *newcols = NULL; in copy_entry() local
110 cols = old->en_cols.en_cols_val; in copy_entry()
122 newcols[j].ec_flags = cols[j].ec_flags; in copy_entry()
124 cols[j].ec_value.ec_value_len; in copy_entry()
138 cols[j].ec_value.ec_value_val, in copy_entry()
152 entry_col *cols; in free_entry() local
156 cols = obj->en_cols.en_cols_val; in free_entry()
158 if (cols[i].ec_value.ec_value_val != NULL) in free_entry()
159 delete cols[i].ec_value.ec_value_val; in free_entry()
160 if (cols) in free_entry()
[all …]
H A Ddb_scheme.cc171 db_key_desc * cols = keys.keys_val; in clear_columns() local
173 if (cols) { in clear_columns()
175 if (cols[j].key_name) in clear_columns()
176 delete cols[j].key_name; in clear_columns()
178 delete cols; in clear_columns()
/illumos-gate/usr/src/cmd/bhyve/
H A Dhexdump.c49 int cols; in hexdump() local
59 cols = flags & HD_COLUMN_MASK; in hexdump()
61 cols = 16; in hexdump()
64 for (i = 0; i < length; i += cols) { in hexdump()
72 for (j = 0; j < cols; j++) { in hexdump()
83 for (j = 0; j < cols; j++) { in hexdump()
/illumos-gate/usr/src/cmd/mandoc/
H A Dout.c135 assert(tbl->cols == NULL); in tblcalc()
136 tbl->cols = mandoc_calloc((size_t)sp_first->opts->cols, in tblcalc()
156 col = tbl->cols + icol; in tblcalc()
187 / (sp->opts->cols + 1) : 0); in tblcalc()
221 col = tbl->cols + icol; in tblcalc()
243 width = tbl->cols[icol].width; in tblcalc()
268 width = tbl->cols[icol].width; in tblcalc()
310 tbl->cols[icol].width = wanted; in tblcalc()
331 col = tbl->cols + icol; in tblcalc()
352 col = tbl->cols + icol; in tblcalc()
[all …]
H A Dtbl_term.c182 if (tp->tbl.cols == NULL) { in term_tbl()
201 tp->tbl.cols[ic].spacing; in term_tbl()
202 if (sp->opts->cols) in term_tbl()
203 tsz += tp->tbl.cols[sp->opts->cols - 1].width; in term_tbl()
253 if (ic + 1 < sp->opts->cols) in term_tbl()
557 assert(tp->tbl.cols); in term_tbl()
558 free(tp->tbl.cols); in term_tbl()
559 tp->tbl.cols = NULL; in term_tbl()
613 col = tp->tbl.cols; in tbl_hrule()
618 col = tp->tbl.cols + cp->col; in tbl_hrule()
[all …]
H A Dtbl_html.c85 if (h->tbl.cols == NULL) { in html_tblopen()
259 col = h->tbl.cols + dp->layout->col; in print_tbl()
288 assert(h->tbl.cols); in print_tbl()
289 free(h->tbl.cols); in print_tbl()
290 h->tbl.cols = NULL; in print_tbl()
/illumos-gate/usr/src/contrib/ast/src/lib/libast/disc/
H A Dsfdcmore.c45 int cols; /* max cols */ member
195 if (++more->col <= more->cols || s < e && *s == '\n')
303 int sfdcmore(Sfio_t* f, const char* prompt, int rows, int cols) in sfdcmore() argument
305 int sfdcmore(f, prompt, rows, cols) in sfdcmore()
309 int cols;
332 if (!rows || !cols)
335 astwinsize(sffileno(sfstdin), &rows, &cols);
339 if (!cols)
340 cols = 80;
343 more->cols = cols;
/illumos-gate/usr/src/cmd/beadm/
H A Dbeadm.c88 struct col_info cols[NUM_COLS]; member
264 len[i] = hdr->cols[i].width; in count_widths()
333 hdr->cols[i].width = len[i]; in count_widths()
396 hdr->cols[0].width, name, in print_be_nodes()
400 hdr->cols[3].width, buf, in print_be_nodes()
433 if (hdr->cols[1].width != 0) in print_be_snapshots()
450 if (hdr->cols[1].width != 0) in print_be_snapshots()
454 hdr->cols[1].width, "-", in print_be_snapshots()
455 hdr->cols[2].width, "-", in print_be_snapshots()
456 hdr->cols[3].width, buf, in print_be_snapshots()
[all …]
/illumos-gate/usr/src/uts/common/os/
H A Dfm.c185 if (c + width >= cols) { in fm_printf()
198 return ((c + width) % cols); in fm_printf()
238 c = fm_printf(d + 1, c, cols, " 1"); in fm_nvprintr()
283 c = fm_printf(d + 1, c, cols, "%llx", in fm_nvprintr()
289 c = fm_printf(d + 1, c, cols, "%llx", in fm_nvprintr()
295 c = fm_printf(d + 1, c, cols, "%llx", in fm_nvprintr()
306 c = fm_printf(d + 1, c, cols, "["); in fm_nvprintr()
308 c = fm_nvprintr(cnv, d + 1, c, cols); in fm_nvprintr()
309 c = fm_printf(d + 1, c, cols, " ]"); in fm_nvprintr()
316 c = fm_printf(d + 1, c, cols, "["); in fm_nvprintr()
[all …]
/illumos-gate/usr/src/boot/efi/libefi/
H A Defi_console.c206 UINTN cols, rows; in plat_tem_get_prom_size() local
209 &cols, &rows); in plat_tem_get_prom_size()
211 *width = (size_t)cols; in plat_tem_get_prom_size()
225 UINTN cols, rows; in plat_cons_update_mode() local
245 &cols, &rows); in plat_cons_update_mode()
247 devinit.width = cols; in plat_cons_update_mode()
250 devinit.linebytes = cols; in plat_cons_update_mode()
291 UINTN cols, rows; in efi_text_devinit() local
298 data->width = cols; in efi_text_devinit()
301 data->linebytes = cols; in efi_text_devinit()
[all …]
/illumos-gate/usr/src/cmd/stat/arcstat/
H A Darcstat.pl58 my %cols = (# HDR => [Size, Scale, Description]
119 foreach my $hdr (keys %cols) {
120 print STDERR sprintf("%11s : %s\n", $hdr, $cols{$hdr}[2]);
184 if (not exists($cols{$ele})) {
263 printf("%s%s", prettynum($cols{$col}[0], $cols{$col}[1],
275 printf("%*s%s", $cols{$col}[0], $col, $sep);
/illumos-gate/usr/src/cmd/dtrace/test/cmd/scripts/
H A Ddtfailures.ksh36 cols=$(expr `tput cols` - 47)
37 details=`tail -1 $dir/*.err | cut -c1-$cols`
/illumos-gate/usr/src/cmd/mdb/common/modules/mdb_test/
H A Dmdb_test.c300 size_t rows, size_t cols, size_t ocols) in do_nputs_tests() argument
315 mdb_iob_resize(mdb.m_out, rows, cols); in do_nputs_tests()
331 size_t cols = mdb.m_out->iob_cols; in cmd_nputs() local
337 addr = cols; in cmd_nputs()
340 0, rows, addr, cols); in cmd_nputs()
343 MDB_IOB_AUTOWRAP, rows, addr, cols); in cmd_nputs()
346 MDB_IOB_INDENT, rows, addr, cols); in cmd_nputs()
349 MDB_IOB_AUTOWRAP | MDB_IOB_INDENT, rows, addr, cols); in cmd_nputs()
/illumos-gate/usr/src/boot/common/linenoise/
H A Dlinenoise.c132 size_t cols; /* Number of columns in terminal. */ member
345 while((plen+pos) >= l->cols) { in refreshSingleLine()
350 while (plen+len > l->cols) { in refreshSingleLine()
379 int rows = (plen+l->len+l->cols)/l->cols; /* rows used by current buf. */ in refreshMultiLine()
380 int rpos = (plen+l->oldpos+l->cols)/l->cols; /* cursor relative row. */ in refreshMultiLine()
416 (l->pos+plen) % l->cols == 0) in refreshMultiLine()
426 rpos2 = (plen+l->pos+l->cols)/l->cols; /* current cursor relative row. */ in refreshMultiLine()
435 col = (plen+(int)l->pos) % (int)l->cols; in refreshMultiLine()
468 if ((!mlmode && l->plen+l->len < l->cols) /* || mlmode */) { in linenoiseEditInsert()
611 l.cols = getColumns(); in linenoiseEdit()
/illumos-gate/usr/src/lib/libeti/panel/common/
H A Dnew.c67 int lines, cols; in new_panel() local
77 getmaxyx(window, lines, cols); in new_panel()
79 panel -> wendx = panel->wstartx + cols - 1; in new_panel()
/illumos-gate/usr/src/uts/i86pc/boot/
H A Dboot_console.c909 int y, rows, cols; in btem_control() local
912 cols = fb_info.cursor.pos.x; in btem_control()
917 bcons_dev.bd_setpos(rows, cols - 1); in btem_control()
921 cols += 8 - (cols % 8); in btem_control()
922 if (cols >= fb_info.terminal.x) in btem_control()
923 cols = fb_info.terminal.x - 1; in btem_control()
924 bcons_dev.bd_setpos(rows, cols); in btem_control()
967 int rows, cols; in btem_chkparam() local
970 cols = fb_info.cursor.pos.x; in btem_chkparam()
979 bcons_dev.bd_setpos(rows - btem->btem_params[0], cols); in btem_chkparam()
[all …]
/illumos-gate/usr/src/common/ficl/
H A Dmain.c95 int cols = 80, rows = 24; in main() local
99 cols = ws.ws_col; in main()
105 if (asprintf(&buffer, "%d", cols) < 0) in main()
/illumos-gate/usr/src/test/zfs-tests/tests/functional/cli_root/zfs_get/
H A Dzfs_get_001_pos.ksh102 cols=$(echo $line | awk '{print NF}')
110 elif [[ "$opt" == "-p" ]] && ((cols != 4)); then
112 "$cols columns instead of 4."

1234