1ead1f93eSLiane Praza#
2ead1f93eSLiane Praza# CDDL HEADER START
3ead1f93eSLiane Praza#
4ead1f93eSLiane Praza# The contents of this file are subject to the terms of the
5ead1f93eSLiane Praza# Common Development and Distribution License (the "License").
6ead1f93eSLiane Praza# You may not use this file except in compliance with the License.
7ead1f93eSLiane Praza#
8ead1f93eSLiane Praza# You can obtain a copy of the license at usr/src/OPENSOLARIS.LICENSE
9ead1f93eSLiane Praza# or http://www.opensolaris.org/os/licensing.
10ead1f93eSLiane Praza# See the License for the specific language governing permissions
11ead1f93eSLiane Praza# and limitations under the License.
12ead1f93eSLiane Praza#
13ead1f93eSLiane Praza# When distributing Covered Code, include this CDDL HEADER in each
14ead1f93eSLiane Praza# file and include the License file at usr/src/OPENSOLARIS.LICENSE.
15ead1f93eSLiane Praza# If applicable, add the following below this CDDL HEADER, with the
16ead1f93eSLiane Praza# fields enclosed by brackets "[]" replaced with your own identifying
17ead1f93eSLiane Praza# information: Portions Copyright [yyyy] [name of copyright owner]
18ead1f93eSLiane Praza#
19ead1f93eSLiane Praza# CDDL HEADER END
20ead1f93eSLiane Praza#
21ead1f93eSLiane Praza
22ead1f93eSLiane Praza#
23ebbab6bbSDan Price# Copyright (c) 2010, Oracle and/or its affiliates. All rights reserved.
24ead1f93eSLiane Praza#
25ead1f93eSLiane Praza
26ead1f93eSLiane Praza#
27ebbab6bbSDan Price# The default for payload-bearing actions in this package is to appear in the
28ebbab6bbSDan Price# global zone only.  See the include file for greater detail, as well as
29ebbab6bbSDan Price# information about overriding the defaults.
30ead1f93eSLiane Praza#
31ebbab6bbSDan Price<include global_zone_only_component>
32ead1f93eSLiane Prazaset name=pkg.fmri value=pkg:/system/library/storage/libmpscsi_vhci@$(PKGVERS)
3386d41711SAndy Fiddamanset name=pkg.summary value="Sun MP API library for the scsi_vhci driver"
34ead1f93eSLiane Prazaset name=pkg.description \
35ead1f93eSLiane Praza    value="SNIA MP API plugin library for the scsi_vhci driver"
3686d41711SAndy Fiddamanset name=info.classification value=org.opensolaris.category.2008:System/Hardware
37ead1f93eSLiane Prazaset name=variant.arch value=$(ARCH)
3886d41711SAndy Fiddamandir  path=etc group=sys
3986d41711SAndy Fiddamandir  path=lib
4086d41711SAndy Fiddamandir  path=lib/$(ARCH64)
4186d41711SAndy Fiddamanlink path=lib/$(ARCH64)/libmpscsi_vhci.so target=libmpscsi_vhci.so.1
42ead1f93eSLiane Prazafile path=lib/$(ARCH64)/libmpscsi_vhci.so.1
4386d41711SAndy Fiddamanlink path=lib/libmpscsi_vhci.so target=libmpscsi_vhci.so.1
44ead1f93eSLiane Prazafile path=lib/libmpscsi_vhci.so.1
4571e464e5SPeter Dennis - Sustaining Engineerlegacy pkg=SUNWmpsvplr \
46ead1f93eSLiane Praza    desc="SNIA MP API plugin library for the scsi_vhci driver (Root)" \
4771e464e5SPeter Dennis - Sustaining Engineer    name="Sun MP API library for the scsi_vhci driver (Root)"
48ead1f93eSLiane Prazalicense cr_Sun license=cr_Sun
49ead1f93eSLiane Prazalicense lic_CDDL license=lic_CDDL
50